ARM big.LITTLE

ARM big.LITTLE is a heterogeneous computing architecture developed by ARM Holdings, coupling relatively battery-saving and slower processor cores (LITTLE) with relatively more powerful and power-hungry ones (big). Typically, only one "side" or the other will be active at once, but since all the cores have access to the same memory regions, workloads can be swapped between big and LITTLE cores on the fly.[1] The intention is to create a multi-core processor that can adjust better to dynamic computing needs and use less power than clock scaling alone. ARM's marketing material promises up to a 75% savings in power usage for some activities.[2]

In October 2011, big.LITTLE was announced along with the Cortex-A7, which was designed to be architecturally compatible with the Cortex-A15.[3] In October 2012 ARM announced the Cortex-A53 and Cortex-A57 (ARMv8-A) cores, which are also compatible with each other to allow their use in a big.LITTLE chip.[4] ARM later announced the Cortex-A12 at Computex 2013 followed by the Cortex-A17 in February 2014, both can also be paired in a big.LITTLE configuration with the Cortex-A7.[5][6]

Run-state migration

There are three ways[7] for the different processor cores to be arranged in a big.LITTLE design, depending on the scheduler implemented in the kernel.[8]

Clustered switching

big.LITTLE clustered switching

The clustered model approach is the first and simplest implementation, arranging the processor into identically-sized clusters of "big" or "LITTLE" cores. The operating system scheduler can only see one cluster at a time; when the load on the whole processor changes between low and high, the system transitions to the other cluster. All relevant data is then passed through the common L2 cache, the first core cluster is powered off and the other one is activated. A Cache Coherent Interconnect (CCI) is used. This model has been implemented in the Samsung Exynos 5 Octa (5410).[9]

In-kernel switcher (CPU migration)

big.LITTLE in-kernel switcher

CPU migration via the in-kernel switcher (IKS) involves pairing up a 'big' core with a 'LITTLE' core, with possibly many identical pairs in one chip. Each pair operates as one virtual core, and only one real core is (fully) powered up and running at a time. The 'big' core is used when the demand is high and the 'LITTLE' core is employed when demand is low. When demand on the virtual core changes (between high and low), the incoming core is powered up, running state is transferred, the outgoing is shut down, and processing continues on the new core. Switching is done via the cpufreq framework. A complete big.LITTLE IKS implementation was added in Linux 3.11. big.LITTLE IKS is an improvement of Cluster Migration, the main difference is that each pair is visible to the scheduler.

The more complex arrangement involves a non-symmetric grouping of 'big' and 'LITTLE' cores. A single chip could have one or two 'big' cores and many more 'LITTLE' cores, or vice versa. Nvidia created something similar to this with the low-power 'companion core' in their Tegra 3 SoC.

Heterogeneous multi-processing (global task scheduling)

big.LITTLE heterogeneous multi-processing

The most powerful use model of big.LITTLE architecture is heterogeneous multi-processing (HMP), which enables the use of all physical cores at the same time. Threads with high priority or computational intensity can in this case be allocated to the "big" cores while threads with less priority or less computational intensity, such as background tasks, can be performed by the "LITTLE" cores.[10][11]

This model has been implemented in the Samsung Exynos 5 Octa (5420, 5422, 5430), 7 Octa (5433, 7420) and 5 Hexa (5260).[12][13]

Scheduling

The paired arrangement allows for switching to be done transparently to the operating system using the existing dynamic voltage and frequency scaling (DVFS) facility. The existing DVFS support in the kernel (e.g. cpufreq in Linux) will simply see a list of frequencies/voltages and will switch between them as it sees fit, just like it does on the existing hardware. However, the low-end slots will activate the 'LITTLE' core and the high-end slots will activate the 'big' core.

Alternatively, all the cores may be exposed to the kernel scheduler, which will decide where each process/thread is executed. This will be required for the non-paired arrangement but could possibly also be used on the paired cores. It poses unique problems for the kernel scheduler, which, at least with modern commodity hardware, has been able to assume all cores in a SMP system are equal.

Advantages of global task scheduling

Implementations

SoC Fabrication big cores LITTLE cores GPU Memory interface Wireless radio technologies Availability Devices
HiSilicon K3V3 28 nm 1.8 GHz dual-core Cortex-A15 1.2 GHz dual-core Cortex-A7 Mali-T658 H2 2013
HiSilicon Kirin 920 28 nm 1.7-2.0 GHz Cortex-A15 1.3-1.6 GHz quad-core Cortex-A7 Mali-T628MP4 LPDDR3 LTE CAT6 TBA Huawei Honor 6
Samsung Exynos 5 Octa (5410 model)[14][15] 28 nm 1.6-1.8 GHz quad-core Cortex-A15 1.2 GHz quad-core Cortex-A7 PowerVR SGX544MP3 32-bit dual-channel 800 MHz LPDDR3 (12.8 GB/sec) Q2 2013 Exynos 5-based Samsung Galaxy S4
Samsung Exynos 5 Octa (5420 model)[16] 28 nm 1.8-2.0 GHz quad-core Cortex-A15 1.3 GHz quad-core Cortex-A7 Mali-T628MP6 32-bit dual-channel 933 MHz LPDDR3e (14.9 GB/sec) Q4 2013 Exynos 5-based Samsung Galaxy Note 3
Samsung Exynos 5 Octa (5422 model)[13] 28 nm 2.1 GHz quad-core Cortex-A15 1.5 GHz quad-core Cortex-A7 Mali-T628MP6 32-bit dual-channel 933 MHz LPDDR3e (14.9 GB/sec) Q2 2014 Exynos 5-based Samsung Galaxy S5, Odroid-XU3, Odroid-XU4
Samsung Exynos 5 Hexa (5260 model)[13] 28 nm 1.7 GHz dual-core Cortex-A15 1.3 GHz quad-core Cortex-A7 Mali-T624 32-bit dual-channel 800 MHz LPDDR3e (12.8 GB/sec) Q2 2014 Samsung Galaxy Note 3 Neo
Samsung Exynos 5 Octa (5430 model)[17] 20 nm 1.8 GHz quad-core Cortex-A15 1.3 GHz quad-core Cortex-A7 Mali-T628MP6 32-bit dual-channel 1066 MHz LPDDR3e (17.0 GB/sec) LTE CAT6 Q3 2014 Samsung Galaxy Alpha[18]
Samsung Exynos 7 Octa (5433 model)[19] 20 nm 1.9 GHz quad-core Cortex-A57 1.3 GHz quad-core Cortex-A53 Mali-T760MP6 32-bit dual-channel 825 MHz LPDDR3e (13.2 GB/sec) LTE CAT6 Q4 2014 Samsung Galaxy Note 4 (SM-N910C)
Samsung Exynos 7 Octa (7420 model)[20] 14 nm 2.1 GHz quad-core Cortex-A57 1.5 GHz quad-core Cortex-A53 Mali-T760MP8 LPDDR4 LTE Q2 2015 Samsung Galaxy S6, Samsung Galaxy S6 Edge
Renesas Mobile MP6530[21] 28 nm 2 GHz dual-core Cortex-A15 1 GHz dual-core Cortex-A7 PowerVR SGX544 Dual-channel LPDDR3 LTE CAT4
Allwinner A80 Octa[22] 28 nm Quad-core Cortex-A15 Quad-core Cortex-A7 PowerVR G6230 Dual-channel DDR3/DDR3L/LPDDR3 or LPDDR2[23]
MediaTek MT6595[24] 28 nm 2.2 GHz quad-core Cortex-A17 1.7 GHz quad-core Cortex-A7 PowerVR G6200 (600 MHz) 32-bit dual-channel 933 MHz LPDDR3 (14.9 GB/sec) LTE CAT4 Q2 2014
MediaTek MT6595M 28 nm 2.0 GHz quad-core Cortex-A17 1.5 GHz quad-core Cortex-A7 PowerVR G6200 (450 MHz) 32-bit dual-channel 933 MHz LPDDR3 (14.9 GB/sec) LTE CAT4 Q2 2014
MediaTek MT6595 Turbo 28 nm 2.5 GHz quad-core Cortex-A17 1.7 GHz quad-core Cortex-A7 PowerVR G6200 (600 MHz) 32-bit dual-channel 933 MHz LPDDR3 (14.9 GB/sec) LTE CAT4 TBD
Qualcomm Snapdragon 808 (MSM8992)[25] 20 nm 1.8 GHz Dual-core Cortex-A57 1.5 GHz Quad-core ARM Cortex-A53 Adreno 418 32-bit 933 MHz LPDDR3 (14.9 GB/s) LTE Cat 6/7 H1 2015 LG G4, Microsoft Lumia 950, Nexus 5X
Qualcomm Snapdragon 810 (MSM8994)[26] 20 nm 2.0 GHz Quad-core Cortex-A57 1.5 GHz Quad-core ARM Cortex-A53 Adreno 430 32-bit dual-channel 1600 MHz LPDDR4 (25.6 GB/s) LTE Cat 6/7 H1 2015 HTC One M9, LG G Flex 2, OnePlus 2, Microsoft Lumia 950 XL
Nvidia Tegra X1 20 nm 1.9 GHz Quad-core ARM Cortex-A57 Quad-core ARM Cortex-A53 Maxwell GM20B 64-BIT LPDDR4 (25.6 GB/s) Q2 2015 Nvidia Shield Android TV, Google Pixel C

References

  1. Nguyen, Hubert (17 January 2013). "What Is ARM big.LITTLE?". UberGizmo.com.
  2. "big.LITTLE technology". ARM.com.
  3. "ARM Unveils its Most Energy Efficient Application Processor Ever; Redefines Traditional Power And Performance Relationship With big.LITTLE Processing" (Press release). ARM Holdings. 19 October 2011. Retrieved 2012-10-31.
  4. "ARM Launches Cortex-A50 Series, the World’s Most Energy-Efficient 64-bit Processors" (Press release). ARM Holdings. Retrieved 2012-10-31.
  5. "ARM's new Cortex-A12 is ready to power 2014's $200 midrange smartphones". The Verge. April 2014.
  6. "ARM Cortex A17: An Evolved Cortex A12 for the Mainstream in 2015". AnandTech. April 2014.
  7. Brian Jeff (18 June 2013). "Ten Things to Know About big.LITTLE". ARM Holdings. Retrieved 2013-09-17.
  8. George Grey (10 July 2013). "big.LITTLE Software Update". Linaro. Retrieved 2013-09-17.
  9. Peter Clarke (6 August 2013). "Benchmarking ARM’s big-little architecture". Retrieved 2013-09-17.
  10. A Survey Of Techniques for Architecting and Managing Asymmetric Multicore Processors, ACM Computing Surveys, 2015.
  11. Big.LITTLE Processing with ARM Cortex™-A15 & Cortex-A7 (PDF), ARM Holdings, September 2013, retrieved 2013-09-17
  12. Brian Klug (2013-09-11). "Samsung Announces big.LITTLE MP Support in Exynos 5420". AnandTech. Retrieved 2013-09-16.
  13. 1 2 3 "Samsung Unveils New Products from its System LSI Business at Mobile World Congress". Samsung Tomorrow. Retrieved 26 February 2013.
  14. Andrew Cunningham (10 January 2013). "Samsung’s new eight-core Exynos 5 Octa SoC promises not to hog battery". Ars Technica. Retrieved 2013-01-10.
  15. James Trew (9 January 2013). "Samsung announces eight-core Exynos 5 'Octa' chip at CES". Engadget. Retrieved 2013-01-10.
  16. "Samsung Primes Exynos 5 Octa for ARM big.LITTLE Technology with Heterogeneous Multi-Processing Capability" (Press release). Samsung Electronics. 10 September 2013. Retrieved 2013-09-17.
  17. "Samsung Announces Exynos 5430: First 20nm Samsung SoC". AnandTech. Retrieved 14 August 2014.
  18. "Samsung Introduces Galaxy Alpha, the evolution of Galaxy Design". Samsung Tomorrow. Retrieved 14 August 2014.
  19. "Samsung's Exynos 5433 is an A57/A53 ARM SoC". AnandTech. Retrieved 17 September 2014.
  20. "Samsung Announces the Galaxy S 6 and S 6 Edge". 1 March 2015. Retrieved 1 March 2015.
  21. MP6530 (PDF), Renesas Mobile, December 2012, retrieved 2013-09-17
  22. "Allwinner UltraOcta A80 processor packs a PowerVR Series6 GPU with 64 cores". Imagination. March 2014.
  23. "A80". Allwinner. May 2014.
  24. "MT6595 Octa-core LTE platform". MediaTek. April 2014.
  25. "Snapdragon 808 processor". Qualcomm. April 2014.
  26. "Snapdragon 810 processor". Qualcomm. April 2014.

Further reading

External links

This article is issued from Wikipedia - version of the Sunday, March 20, 2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.