Bulldozer (microarchitecture)

Bulldozer - Family 15h
Produced From late 2011 to present
Common manufacturer(s)
Min. feature size 32 nm
Instruction set x86-64
Socket(s)
Predecessor Family 10h (K10)
Successor Piledriver - Family 15h (2nd-gen)
Core name(s)

The AMD Bulldozer Family 15h is a microprocessor microarchitecture for the FX and Opteron line of processors, developed by AMD for the desktop and server markets.[1][2] Bulldozer is the codename for this family of microarchitectures. It was released on October 12, 2011 as the successor to the K10 microarchitecture.

Bulldozer is designed from scratch, not a development of earlier processors.[3] The core is specifically aimed at computing products with TDPs of 10 to 125 watts. AMD claims dramatic performance-per-watt efficiency improvements in high-performance computing (HPC) applications with Bulldozer cores.

The Bulldozer cores support most of the instruction sets implemented by Intel processors available at its introduction (including SSE4.1, SSE4.2, AES, CLMUL, and AVX) as well as new instruction sets proposed by AMD; ABM, XOP, FMA4 and F16C.[4][5]

Overview

According to AMD, Bulldozer-based CPUs are based on GlobalFoundries' 32 nm Silicon on insulator (SOI) process technology and reuses the approach of DEC for multitasking computer performance with the arguments that it, according to press notes, "balances dedicated and shared computer resources to provide a highly compact, high units count design that is easily replicated on a chip for performance scaling."[6] In other words, by eliminating some of the "redundant" elements that naturally creep into multicore designs, AMD has hoped to take better advantage of its hardware capabilities, while using less power.

Bulldozer-based implementations built on 32nm SOI with HKMG arrived in October 2011 for both servers and desktops. The server segment included the dual chip (16-core) Opteron processor codenamed Interlagos (for Socket G34) and single chip (4, 6 or 8 cores) Valencia (for Socket C32), while the Zambezi (4, 6 and 8 cores) targeted desktops on Socket AM3+.[7][8]

Bulldozer is the first major redesign of AMD’s processor architecture since 2003, when the firm launched its K8 processors, and also features two 128-bit FMA-capable FPUs which can be combined into one 256-bit FPU. This design is accompanied by two integer clusters, each with 4 pipelines (the fetch/decode stage is shared). Bulldozer also introduced shared L2 cache in the new architecture. AMD calls this design a "Module". A 16-core processor design would feature eight of these "modules",[9] but the operating system will recognize each "module" as two logical cores.

The modular architecture consists of multithreaded shared L2 cache and FlexFPU, which uses simultaneous multithreading. Each physical integer core, two per module, is single threaded, in contrast with Intel's Hyperthreading, where two virtual simultaneous threads share the resources of a single physical core.[10]

Architecture

Bulldozer core

Block diagram of a complete Bulldozer module, showing 2 integer clusters
Block diagram of a 4 module design with 8 integer clusters
Memory topology of a Bulldozer server

Instruction set extensions

Process technology and clock frequency

Cache and memory interface

I/O and socket interface

Processors

Chipset and I/Os for 1st CMT generation

The first revenue shipments of Bulldozer-based Opteron processors was announced on September 7, 2011.[26] The FX-4100, FX-6100, FX-8120 and FX-8150 were released in October 2011; with remaining FX series AMD processors released at the end of the first quarter of 2012.

Desktop

Model Modules Frequency Max. turbo L2 cache L3 cache TDP Memory Turbo Core Socket
Half load Full load
FX-8150 4 3.6 GHz 3.9 GHz 4.2 GHz 4 × 2 MB 8 MB 125 W DDR3
1866 MHz
Yes (2.0) AM3+
FX-8120 3.1 GHz 3.4 GHz 4.0 GHz
FX-8100 2.8 GHz 3.1 GHz 3.7 GHz 95 W
FX-6200 3 3.8 GHz 4.0 GHz 4.1 GHz 3 × 2 MB 125 W
FX-6120 3.5 GHz 3.9 GHz 4.1 GHz 95 W
FX-6100 3.3 GHz 3.6 GHz 3.9 GHz
FX-4170 2 4.2 GHz 4.3 GHz 4.3 GHz 2 x 2 MB 125 W
FX-4130 3.8 GHz 3.9 GHz 4.0 GHz 4 MB
FX-4100 3.6 GHz 3.7 GHz 3.8 GHz 8 MB 95 W

Major Sources: CPU-World[27] and Xbit-Labs[28]

There are two series of Bulldozer-based processors for servers: Opteron 4200 series (code named Valencia, with up to four modules) and Opteron 6200 series (code named Interlagos, with up to 8 modules).[29]

Performance

Performance on Linux

On 24 October 2011, the first generation tests done by Phoronix confirmed that the performance of Bulldozer CPU is somewhat less than expected.[30] In many tests the CPU has performed on same level as older generation Phenom 1060T.

The performance later substantially increased, as various compiler optimizations and CPU driver fixes were released.[31][32]

Performance on Windows

The first Bulldozer CPUs were met with a mixed response. It was discovered that the FX-8150 performed poorly in benchmarks that were not highly threaded, falling behind the second-generation Intel Core i* series processors and being matched or even outperformed by AMD's own Phenom II X6 at lower clock speeds. In highly threaded benchmarks, the FX-8150 performed on par with the Phenom II X6, and the Intel Core i7 2600K, depending on the benchmark. Given the overall more consistent performance of the Intel Core i5 2500K at a lower price, these results left many reviewers underwhelmed. The processor was found to be extremely power-hungry under load, especially when overclocked, compared to Intel's Sandy Bridge.[33][34]

On 13 October 2011, AMD stated on its blog that "there are some in our community who feel the product performance did not meet their expectations", but showed benchmarks on actual applications where it outperformed the Sandy Bridge i7 2600k and AMD X6 1100T.[35]

In January 2012, Microsoft released two hotfixes for Windows 7 and Server 2008 R2 that marginally improve the performance of Bulldozer CPUs by addressing the thread scheduling concerns raised after the release of Bulldozer.[36][37][38]

On 6 March 2012, AMD posted a knowledge base article stating that there was a compatibility problem with FX processors, and certain games on the widely used digital game distribution platform, Steam. AMD stated that they had provided a BIOS update to several motherboard manufacturers (namely: Asus, Gigabyte Technology, MSI, and ASRock) that would fix the problem.[39]

In September 2014, AMD CEO Rory Read conceded the Bulldozer design had not been a "game-changing part", and that AMD had to live with the design for four years.[40]

Overclocking

On 31 August 2011, AMD and a group of well-known overclockers including Brian McLachlan, Sami Mäkinen, Aaron Schradin, and Simon Solotko managed to set a new world record for CPU frequency using the unreleased and overclocked FX-8150 Bulldozer processor. Before that day, the record sat at 8.309 GHz, but the Bulldozer combined with liquid helium cooling reached a new high of 8.429 GHz. The record has since been overtaken at 8.58 GHz by Andre Yang using liquid nitrogen.[41][42] On August 22, 2014 and using an FX-8370, The Stilt from Team Finland achieved a maximum CPU frequency of 8.722 GHz.[43]

Revisions

Piledriver is the AMD codename for its improved second-generation microarchitecture based on Bulldozer. AMD Piledriver cores are found in Socket FM2 Trinity and Richland based series of APUs and CPUs and the Socket AM3+ Vishera based FX-series of CPUs.

Steamroller is the AMD codename for its third-generation microarchitecture based on an improved version of Piledriver. Steamroller cores are found in the Socket FM2+ Kaveri based series of APUs and CPUs.

On 12 October 2011, AMD revealed Excavator to be the codename for the fourth-generation Bulldozer core.[44] Excavator will initially be implemented in the 4th Generation A-series Fusion APU line in 2015. Reports indicate this APU will be codenamed Carrizo.[45]

False advertising lawsuit

In November 2015, AMD was sued under the California Consumers Legal Remedies Act and Unfair Competition Law for misrepresenting the specifications of Bulldozer chips. The suit alleged that because each module is exposed to an operating system as two logical CPU cores that, technically, cannot operate independently from each other, AMD had falsely advertised octa-core Bulldozer chips with an implication that they had eight independent cores, but were effectively quad-core chips due to their module count.[46]

See also

References

  1. "FX Processors". AMD. 24 February 2016. Retrieved 24 February 2016.
  2. "AMD ships 16 core bulldozer powered Opteron 6200". Engadget. 14 November 2011. Retrieved 24 February 2016.
  3. Bulldozer 50% Faster than Core i7 and Phenom II, techPowerUp, retrieved 2012-01-23
  4. AMD64 Architecture Programmer’s Manual Volume 6: 128-Bit and 256-Bit XOP, and FMA4 Instructions (PDF), AMD, May 1, 2009, retrieved 2009-05-08
  5. Striking a balance, Dave Christie, AMD Developer blogs, 7 May 2009, retrieved 2009-05-08
  6. AMD Sets New Mark in x86 Innovation with First Detailed Disclosures of Two New Core Designs, AMD, August 24, 2011, p. 1, retrieved September 18, 2011
  7. Analyst Day 2009 Summary, AMD, November 11, 2009, retrieved 2009-11-14
  8. AMD bestätigt: "Zambezi" ist inkompatibel zum Sockel AM3, Planet3dnow.de, retrieved 2012-01-23
  9. Analyst Day 2009 Presentations, AMD, November 11, 2009, retrieved 2009-11-14
  10. http://cdn3.wccftech.com/wp-content/uploads/2013/07/AMD-Steamroller-vs-Bulldozer.jpg
  11. Bulldozer microarchitecture block, AnandTech, August 24, 2010
  12. Bulldozer module functional schematic, AMD, August 24, 2010
  13. More On Bulldozer, Tomshardware.com, 2010-08-24, retrieved 2012-01-23
  14. AMD Reveals Details About Bulldozer Microprocessors, AMD Reveals Details About Bulldozer Microprocessors, Xbitlabs.com, retrieved 2012-01-23
  15. Real World Technologies (2010-08-26), AMD's Bulldozer Microarchitecture, Realworldtech.com, retrieved 2012-01-23
  16. Bulldozer design power efficiency, AMD, August 24, 2010
  17. 1 2 3 AP (PDF), retrieved 2012-01-23
  18. XOP and FMA4 Instruction set in SSE5, Techreport.com, 2009-05-06, retrieved 2012-01-23
  19. AMD Financial Analyst Day 2010, Server Platforms Presentation, Ir.amd.com, 2010-11-09, retrieved 2012-01-23
  20. AMD Roadmap, retrieved 2012-01-23
  21. AMD (2012-05-14), AMD Opteron&TM; 4200 Series Processor Quick Reference Guide (PDF), www.amd.com, retrieved 2012-08-15
  22. AMD (2012-05-14), AMD Opteron&TM; 6200 Series Processor Quick Reference Guide (PDF), www.amd.com, retrieved 2012-08-15
  23. ASUS confirms AM3+ compatibility on AM3 boards, Event.asus.com, retrieved 2012-01-23
  24. MSI confirms AM3+ compatibility on AM3 boards, Event.msi.com, retrieved 2012-01-23
  25. AM3 processors will work in the AM3+ socket, but Bulldozer chips will not work in non-AM3+ motherboards Archived December 10, 2010, at the Wayback Machine.
  26. AMD Ships First "Bulldozer" Processors
  27. AMD FX-Series processor families, Cpu-world.com, 2012-10-02, retrieved 2012-10-21
  28. Shilov, Anton (2012-09-21). "AMD Sets the FX "Vishera" Launch Date". X-bit laboratories. X-bit labs. Retrieved 2012-09-23.
  29. What Is Bulldozer?, 2010-08-02, archived from the original on June 25, 2011
  30. AMD FX-8150 Bulldozer On Ubuntu Linux, phoronix.com, 2011-10-24, retrieved 2012-12-13
  31. AMD Bulldozer Cache Aliasing Issue Fix, phoronix.com
  32. AMD's FX-8150 Bulldozer Benefits From New Compilers, Tuning, phoronix.com
  33. Bulldozer Has Arrived: AMD FX-8150 Processor Review, X-bit labs, 2011-10-11, p. 13, retrieved 2012-01-23
  34. Bulldozer Has Arrived: AMD FX-8150 Processor Review, X-bit labs, 2011-10-11, p. 14, retrieved 2012-01-23
  35. Our Take on AMD FX, community.amd.com, 2013-11-14, retrieved 2012-01-23
  36. An update is available for computers that have an AMD FX, AMD Opteron 4200, AMD Opteron 6200, or AMD Bulldozer series processor installed and that are running Windows 7 or Windows Server 2008 R2, support.microsoft.com, January 2012, retrieved 2014-02-11
  37. An update that selectively disables the Core Parking feature in Windows 7 or in Windows Server 2008 R2 is available, support.microsoft.com, January 2012, retrieved 2014-02-11
  38. STEAM Games on AMD FX platforms, support.amd.com, 2012-06-12, retrieved 2012-10-11
  39. AMD: next-generation microarchitecture will make up for muted Bulldozer reception (PC Gamer, Oct. 8, 2014)
  40. AMD Bulldozer CPU beats world record again achieving 8.461GHz, geek.com, 2011-11-01, retrieved 2012-10-16
  41. AMD Bulldozer Speed Record Broken Again at 8.58GHz
  42. Samuel D. "CPU-Z Validator 4.0". Retrieved 23 September 2014.
  43. The Bulldozer Review: AMD FX-8150 Tested, AnandTech, 2011-10-12, retrieved 2012-01-23
  44. New confirmed details on AMD's 2014 APU lineup, Kaveri delayed - VR-Zone
  45. "AMD sued over allegedly misleading Bulldozer core count". Ars Technica. Retrieved 8 November 2015.

External links

This article is issued from Wikipedia - version of the Friday, April 15, 2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.