Multiple patterning

Self-Aligned Quadruple Patterning. a. Mandrel (gray) defines second pattern (blue) in SID approach. b. 2nd SID iteration adds red features for the final pattern.

Multiple patterning (or multi-patterning) is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. It is expected to be necessary for the upcoming 10 nm and 7 nm node semiconductor processes and beyond. The premise is that a single lithographic exposure may not be enough to provide sufficient resolution. Hence additional exposures would be needed, or else positioning patterns using etched feature sidewalls (using spacers) would be necessary.

Although EUV has been projected to be the next-generation lithography of choice, it would still require more than one lithographic exposure, due to the foreseen need to first print a series of lines and then cut them.[1] It is also likely more than one cut would be needed, even for EUV.[2]

Pitch Splitting

Pitch splitting. Each feature color represents one group of features which may be imaged at once.

The earliest form of multiple patterning involved simply dividing a pattern into two or three parts, each of which may be processed conventionally, with the entire pattern combined at the end in the final layer. This is sometimes called pitch splitting, since two features separated by one pitch cannot be imaged, so only skipped features can be imaged at once. It is also named more directly as "LELE" (Litho-Etch-Litho-Etch). This approach has been used for the 20 nm and 14 nm nodes. The additional cost of extra exposures was tolerated since only a few critical layers would need them. A more serious concern was the effect of feature-to-feature positioning errors (overlay). Consequently, the self-aligned sidewall imaging approach (described below) has succeeded this approach.

Sidewall Image Transfer

Need for cutting a spacer. After a mandrel feature is patterned (a), a spacer is formed on its sidewall (b). An uncut patterned spacer forms a loop (c), which is not used as a conducting path. Therefore, some means must be found for cutting the spacer loop (d). Generally, it is an additional lithographic exposure, with another mask (called a trim mask or cut mask) used.

As pitch splitting has become more difficult due to possible differences in feature positions between different exposed parts, sidewall image transfer (SIT) has become more recognized as the necessary approach. The SIT approach typically requires a spacer layer to be formed on an etched feature's sidewall. If this spacer corresponds to a conducting feature, then ultimately it must be cut at no less than two locations to separate the feature into two or more conducting lines as typically expected. On the other hand, if the spacer corresponds to a dielectric feature, cutting would not be necessary. The prediction of how many cuts would be needed for advanced logic patterns has been a large technical challenge. Many approaches for spacer patterning have been published (some listed below), all targeting the improved management (and reduction) of the cuts.

Self-aligned contact/via patterning

Self-aligned via dual-damascene patterning.

Self-aligned contact and via patterning is an established method for patterning multiple contacts or vias from a single lithographic feature. It makes use of the intersection of an enlarged feature resist mask and underlying trenches which are surrounded by a pre-patterned hardmask layer. This technique is used in DRAM cells[3] and has been extended to patterning of active areas (see "Crossed self-aligned patterning" below). It is also used for advanced logic to avoid multiple exposures of pitch-splitting contacts and vias.

Published approaches

Crossed self-aligned patterning. Crossed self-aligned patterning is typically used for DRAM arrays, for which the active areas are arranged as crossed arrays of lines.
Minimum Exposures Metal Half-pitch Node References
193i
193i+193i
40-45 nm 32/28/22 nm [4]
193i (SADP)
193i+193i (LELE)
32-35 nm 20/16/14 nm [5][6]
193i (SID SADP)
193i+193i (SADP)
22-26 nm 10 nm [5][7]
193i(+193i) (SAQP+trimming or SID)
193i+EUV
15-18 nm 7 nm [1][5][8][9]
193i(+193i) (SAQP+trimming or SID)
193i (full-field) + 2 EUV(High-NA half-fields)
11-13 nm 5 nm [5][8][9][10]

The above approaches are for random logic patterns. Memory patterns are already patterned by quadruple patterning for NAND[11] and crossed quadruple/double patterning for DRAM.[12] These patterning techniques are self-aligned and do not require custom cutting or trim masks.

Multiple Patterning vs. EUV

There have been numerous concerns that multiple patterning diminishes or even reverses the node-to-node cost reduction expected with Moore's Law. One or two 193i exposures can scale down to 7 nm node. The only known technique for single exposure 5 nm node (22-26 nm pitch) patterning is self-aligned multiple patterning along with self-trimming, such as by sidewall profile modulation (see discussion below), or having sidewall spacers pattern dielectric (see discussion below); otherwise, even a single EUV mask exposure is not sufficient. Extra cost at 7 nm node is anticipated from replacement of a single 193i exposure by EUV or two 193i exposures. EUV is more expensive than three 193i exposures, considering the throughput.[13] Moreover, EUV is more liable to print smaller mask defects not resolvable by 193i.[14] 5 nm node adds even more exposure costs.

20nm to 14nm nodes (28-40 nm Half-pitch): Litho-Etch-Litho-Etch (LELE)

Double Expose, Double Etch (trenches): Photoresist coating over first pattern; etching adjacent to previous features; mask removal

A "brute force" approach for patterning trenches involves a sequence of (at least) two separate exposures and etchings of independent patterns into the same layer. For each exposure, a different photoresist coating is required. When the sequence is completed, the pattern is a composite of the previously etched subpatterns. By interleaving the subpatterns, the pattern density can theoretically be increased indefinitely, the half-pitch being inversely proportional to the number of subpatterns used. For example, a 25 nm half-pitch pattern can be generated from interleaving two 50 nm half-pitch patterns, three 75 nm half-pitch patterns, or four 100 nm half-pitch patterns. The feature size reduction will most likely require the assistance of techniques such as chemical shrinks, thermal reflow, or shrink assist films. This composite pattern can then be transferred down into the final layer.

A possible application would be, for example, dividing the contact layer into two separate groups: gate contacts and source/drain contacts, each defining its own mask. IMEC recently used an approach like this to demonstrate a 45 nm node 6-transistor SRAM cell using dry lithography .

Double Expose, Double Etch (lines): Photoresist coating over first pattern; photoresist features between previous features; etching; mask removal

This is best described by considering a process example. A first exposure of photoresist is transferred to an underlying hardmask layer. After the photoresist is removed following the hardmask pattern transfer, a second layer of photoresist is coated onto the sample and this layer undergoes a second exposure, imaging features in between the features patterned in the hardmask layer. The surface pattern is made up of photoresist features edged between mask features, which can be transferred into the final layer underneath. This allows a doubling of feature density. The Interuniversity Microelectronics Centre (IMEC, Belgium) recently used this approach to pattern the gate level for its 32 nm half-pitch demonstration.[15]

A concern with the use of this approach is the discrepancy and delay between the second photoresist pattern and the first hardmask pattern, resulting in an additional source of variation.

A variation on this approach which eliminates the first hardmask etch is resist freezing, which allows a second resist coating over the first developed resist layer. JSR has demonstrated 32 nm lines and spaces using this method, where the freezing is accomplished by surface hardening of the first resist layer.

14nm to 10nm nodes (20-28 nm Half-pitch): Self-Aligned Spacer

Spacer mask: first pattern; deposition; spacer formation by etching; first pattern removal; etching with spacer mask; final pattern
Spacer-is-Dielectric (SID) self-aligned double patterning (SADP). The mandrel pattern (blue) defines the metal features supporting the dielectric spacer (not shown) locations and, indirectly, other metal locations (red). Hence, multiple cuts are eliminated. This technique is attractive for 10nm node and may be repeated for self-aligned quadruple patterning (SAQP) in subsequent nodes.

In spacer patterning, a spacer is a film layer formed on the sidewall of a pre-patterned feature. A spacer is formed by deposition or reaction of the film on the previous pattern, followed by etching to remove all the film material on the horizontal surfaces, leaving only the material on the sidewalls. By removing the original patterned feature, only the spacer is left. However, since there are two spacers for every line, the line density has now doubled. This is commonly referred to as Self-Aligned Doubled Patterning (SADP). The spacer technique is applicable for defining narrow gates at half the original lithographic pitch, for example.

The spacer approach is unique in that with one lithographic exposure, the pitch can be halved indefinitely with a succession of spacer formation and pattern transfer processes. For example, two iterations of SADP leads to quartering of the pitch or quadrupling of features within the original pitch. Hence, this is often referred to as Self-Aligned Quadruple Patterning (SAQP). This conveniently avoids the serious issue of overlay between successive exposures. The spacer lithography technique has most frequently been applied in patterning fins for FinFETs.

As spacer materials are commonly hardmask materials, their post-etch pattern quality tends to be superior compared to photoresist profiles after etch, which are generally plagued by line edge roughness.[16]

The main issues with the spacer approach are whether the spacers can stay in place after the material to which they are attached is removed, whether the spacer profile is acceptable, and whether the underlying material is attacked by the etch removing the material attached to the spacer. Pattern transfer is complicated by the situation where removal of the material adjacent to the spacers also removes a little of the underlying material. This results in higher topography on one side of the spacer than the other.[17] Any misalignment of masks or excursion in pre-patterned feature critical dimension (CD) will cause the pitch between features to alternate, a phenomenon known as pitch walking.[18]

The positioning of the spacer also depends on the pattern to which the spacer is attached. If the pattern is too wide or too narrow, the spacer position is affected. However, this would not be a concern for critical memory feature fabrication processes which are self-aligned.

Spacer-Is-Dielectric (SID) Patterning

In the original spacer-based technique, the spacers defined conducting features which needed to be cut to avoid forming loops. In the spacer-is-dielectric (SID) approach, the spacers define dielectric spaces between conducting features, and so no longer need cuts. Instead the mandrel definition becomes more strategic in the layout, and there is no longer a preference for 1D line-like features.[5][19] The SID approach has gained popularity due to its flexibility with minimal mask count.[7]

Beyond 10nm node (Sub-20 nm Half-pitch): Quadruple Patterning

Feature quadrupling with second spacer patterning: 1st spacer deposition; 1st spacer etch; 1st and 2nd support material etch; 2nd spacer deposition; 2nd spacer etch; 2nd support material etch

IMEC has indicated that in the event that EUV lithography is not ready, quadruple patterning (with tighter overlay specifications) would be used.[20]

Beyond double (2X) patterning, the most frequently published multiple patterning methodology is the repeated spacer approach, which can be practiced in many forms.[21][22][23][24][25] A multilayer-on-topography spacer-type approach also offers some flexibility.[26]

EUV multiple patterning at 7nm and beyond

EUV has been expected to be single exposure, but for 2-D patterns at 7 nm node, H-V asymmetry and shot noise rule out single exposure, even for cuts.[1][13][27][28]

In November 2014, ASML revealed that the 7 nm node (16 nm half-pitch), the first node for possible EUV use, would still require double patterning, to an even greater extent than immersion lithography did at 20 nm node.[29] This double patterning can be of the EUV+EUV type, but is more likely to be the complementary combination of 193i+EUV, due to the lower cost of 193i compared to EUV.

High NA (>0.5) EUV is expected to be needed for the 5 nm node (11 nm half-pitch). Such tools would change the field size from the conventional 26 mm x 33 mm to 26 mm x 16.5 mm, as the mask demagnification in the direction corresponding to 16.5 mm field width is doubled from 4x to 8x.[30] Hence, the EUV mask needs to have two exposures (one for each half of a conventional 4x 26 mm x 33 mm field) to match the single exposure field size used by immersion lithography tools.[31]

Spacer-is-dielectric patterning

If the SID approach is applied after SADP, quadruple patterning can be achieved without additional cuts beyond that required for double patterning. The flexibility comes from having the spacer not define the metallic features, since they are normally loops which need to be cut. By trimming the spacers and having the spacer define dielectric locations, separate line cutting exposures can be minimized, even possibly eliminated. Additional resolution is achieved by conversion into the SAQP approach by having the metal-patterning mandrels themselves defined by SADP.[32] A double-patterned metal pattern layout can turn into a quadruple-patterned layout, without additional masks, due to the final spacer loop being dielectric. In this way, the cost effectiveness of multiple patterning even for flexible 2D layouts is improved with the use of (up to) two masks for SAQP down to ~11-12 nm half-pitch. EUV thus far has not shown 2D flexibility for 16 nm half-pitch (7 nm node)[33][34] and would therefore require the same number of mask exposures as 193 nm immersion in this case. SAQP may be extended to SAOP by applying an additional spacer.[35] The benefit of SID in extending multiple patterning may be generalized, noting that a 2N-patterned metal pattern layout can turn into a 4N-patterned layout, without additional masks, due to the final spacer loop being dielectric.

Directed self-assembly (DSA)

Directed self-assembly by graphoepitaxy: pre-defined surface pattern; block copolymer deposition; removal of one copolymer component
Directed self-assembly by chemoepitaxy: pre-defined surface pattern; block copolymer deposition; removal of one copolymer component

As of 2010, much progress was reported on the use of PMMA-PS block copolymers to define sub-20 nm patterns by means of self-assembly, guided by surface topography (graphoepitaxy) and/or surface chemical patterning (chemoepitaxy).[36] The key benefit is the relatively simple processing, compared to multiple exposures or multiple depositions and etching. The main drawback of this technique is the relatively limited range of feature sizes and duty cycles for a given process formulation. Nevertheless, the timing for sub-20 nm node ~2013 is currently being targeted.[37] Typical applications have been regular lines and spaces as well as arrays of closely packed holes or cylinders.[38] However, random, aperiodic patterns may also be generated using carefully defined guiding patterns.[39]

The line edge roughness in block copolymer patterns is strongly dependent on the interface tension between the two phases, which in turn, depends on the Flory "chi" (χ) parameter.[40] A higher value of χ is preferred for reduced roughness; the interfacial width between domains is equal to 2a(6χ)−1/2, where a is the statistical polymer chain length.[41] Moreover, χN>>10 is required for sufficient phase segregation, where N is the degree of polymerization (number of monomer repeats in the chain). On the other hand, the half-pitch is equal to 2(3/π2)1/3aN2/3χ1/6. The fluctuations of the pattern widths are actually only weakly (square root) dependent on the logarithm of the half-pitch, so they become more significant relative to smaller half-pitches.

Reduction of mask counts

In order to avoid the increase of mask count from more cuts required for smaller patterns, a number of approaches have been published.

Cut Merging

Cut merging. Top: Quadruple patterning may require cuts at many locations, requiring as many as five masks. Bottom: By merging cuts, only two cuts masks are needed.

Cut merging[42][43] is one of the simpler means of reducing cut masks. The idea is to combine cuts (such as along a diagonal) in one mask, which normally would require more than one mask.

Sidewall profile modulation (SPIMM)

Sidewall profile modulation. Cutting functionality is enabled by sidewall profile control. Left: Spacer film deposited on sidewalls. Right: After etching, the spacer on the sloped sidewalls is removed, while the spacer on the vertical sidewall is intact.

IBM had pioneered a couple of techniques for removing sidewall spacers in some locations while leaving them intact at others.[44] However, these locations may not necessarily be paired. The Sidewall Profile Inclination Modulation Mask (SPIMM) technique[9][45] was proposed in 2013 by Frederick Chen of ITRI as a means to reduce the number of exposures for spacer-defined or possibly DSA-defined double patterning or multiple patterning even for arbitrary, non-arrayed patterns. A dose gradient, such as from a subresolution feature adjacent a feature edge, is transferred to form a more sloped sidewall profile, which allows the deposited spacer patterning to be interrupted locally. A developable BARC process[46][47] is a suitable approach, due to its reduced exposure contrast. Alternatively, the sloped sidewall profile can be formed in the resist directly.[48] It has been shown that the placement of the sub-resolution assist feature (SRAF) can affect the resist profile.[49]

Photoresist Bilayer

SMIC recently developed[50] a double patterning method where a negative-tone developed photoresist is coated over a positive-tone developed photoresist. The two photoresists respond to different dose thresholds, and furthermore, after the upper photoresist is negatively developed, the lower photoresist is etched using the upper photoresist as etch mask. The lower photoresist is subsequently positively developed. This results in a double-patterned structure similar to the spacer patterning method but not requiring the spacer deposition. It is also a single exposure double patterning technique, which allows additional cost reduction.

Directed Self-Assembly

The number of masks may be reduced with the use of DSA due to the provision of gridded cuts all at once within a printed area, which can then be selected with a final exposure.[51][52] Alternatively, the cut pattern itself may be generated as a DSA step.[53]

Specific Implementations

Merged hole separation by etch shrink

Separation of merged holes by etch shrink. Two merged contacts or vias after lithography may be separated following etch.

Tokyo Electron Ltd (TEL) was able to resolve two merged contact holes by applying an etch shrink.[54] 31-32 nm contact half-pitch was achieved through this method.[55]

2D SID Spacer Patterning

2D array patterning by SID.Left: original mandrel pattern. Center: deposition of spacer (orange) and fill material (green). Right: removal of spacer material and rounding of fill material to double feature density. The sequence may be repeated to re-double the density.

The use of SID may be applied to 2D arrays, by iteratively adding features equidistant from the previously present features, doubling the density with each iteration.[56]

Triangular Spacer (Honeycomb Structure) Patterning

Samsung recently demonstrated DRAM patterning using a honeycomb structure (HCS) suitable for 20 nm and beyond.[57] Each iteration of spacer patterning triples the density, effectively redycing 2D pitch by a factor of sqrt(3).

Honeycomb structure patterning. Spacer patterning on a triangular lattice allows tripling of density.

Industrial adoption

In a press release, Samsung also announced that its 14 nm FinFET development relied on working with partner Mentor to "deal with the complexities of multi-patterning lithography."[58]

Intel reported in 2013 that it would be using pitch quartering, i.e., SAQP, for its 10 nm node (15-22 nm half-pitch), instead of EUV.[59] However, Intel's 10 nm introduction has apparently been delayed from 2015 to 2017.[60]

Triple patterning is already established for 14 nm and 10 nm nodes.[61] Any self-aligned multiple patterning followed by two trimming or cutting exposures (SAQP-LELE) for 7 nm and 5 nm nodes, effectively extends triple patterning to these nodes. Fewer cuts, e.g., enabled by SID or self-aligned triple patterning (SATP), would offer even more cost-effective scalability.

The 7 nm node requires capability for 32 nm pitch.[62] Double patterning is insufficient; at least triple patterning is necessary. 5 nm node would require 22 nm pitch,[63] mandating the use of quadruple patterning.

References

  1. 1 2 3 E. van Setten et al., Proc. SPIE 9661, 96610G (2015).
  2. [ http://www.eetimes.com/document.asp?doc_id=1327919 EUV 5nm test]
  3. US Patent 6165880, assigned to TSMC.
  4. P. De Bisschop et al., Proc. SPIE 7973, 79730B (2011).
  5. 1 2 3 4 5 C. Kodama et al., IEEE Trans. CAD Integ. Circ. and Sys., vol. 34, 753 (2015).
  6. S-T. Chen et al., IITC/MAM 2011.
  7. 1 2 Y. Du et al., DAC 2013.
  8. 1 2 W. Kang and Y. Chen, Proc. SPIE 8684, 86840F (2013).
  9. 1 2 3 F. T. Chen et al., Proc. SPIE vol. 8683, 868311 (2013).
  10. J. van Schoot et al., Proc. SPIE 9635, 963503 (2015).
  11. Hynix M1X NAND
  12. U.S. Patent 9318369, assigned to Samsung.
  13. 1 2 D. Civay et al., J. Micro/Nanolith. MEMS MOEMS 14, 023501 (2015).
  14. K. Seki et al., Proc. SPIE 9658, 96580G (2015).
  15. IMEC double patterning
  16. X. Hua et al., J. Vac. Sci. Tech. B, vol. 24, pp. 1850-1858 (2006).
  17. Y-K Choi et al., J. Phys. Chem. B, vol. 107, pp. 3340-3343 (2003).
  18. Chao, Robin; Kohli, Kriti K.; Zhang, Yunlin; Madan, Anita; Muthinti, Gangadhara Raja; Hong, Augustin J.; Conklin, David; Holt, Judson; Bailey, Todd C. (2014-01-01). "Multitechnique metrology methods for evaluating pitch walking in 14 nm and beyond FinFETs". Journal of Micro/Nanolithography, MEMS, and MOEMS 13 (4): 041411–041411. doi:10.1117/1.JMM.13.4.041411. ISSN 1932-5150.
  19. Y. Ban and D. Z. Pan, J. Micro/Nanolith. MEMS MOEMS 14, 011004 (2015).
  20. EETimes "EUV litho keeps progressing, keeps slipping", 6/9/2010.
  21. A. Carlson and T-J. K. Liu, Proc. SPIE 6924, 69240B (2008).
  22. B. Degroote et al., Microelec. Eng., 84, 609-618 (2007).
  23. Y-K. Choi et al., Proc. SPIE 5220, 10 (2003).
  24. US 6759180
  25. US 5328810
  26. US 7919413
  27. S. Hsu et al., Proc. SPIE vol. 9422, 94221I (2015).
  28. R-H. Kim et al., Proc. SPIE vol. 9776, 97761R (2016).
  29. ASML - Many ways to shrink (Nov 2014)
  30. J. van Schoot et al., EUV lithography scanner for sub 9 nm resolution, 29 October 2014, International Symposium on EUVL, Washington.
  31. J. P. Kuijten et al., Proc. SPIE 4000, 843 (2000).
  32. Y. Chen, Q. Cheng and W. Kang, Proc. SPIE 8328, 83280O (2012).
  33. E. van Setten et al., Proc. SPIE vol. 9231, 923108 (2014).
  34. A. Pirati et al., Proc. SPIE vol. 9422, 94221P (2015).
  35. J. Yu et al., Proc. SPIE vol. 9052, 90521P (2014).
  36. S. H. Park et al., Soft Matter, 6, 120-125 (2010).
  37. Chipmakers Mull Plans to Insert DSA at 14 nm
  38. C. G. Hardy and C. Tang, J. Polymer Sci. Pt. B: Polymer Phys., vol. 51, pp. 2-15 (2013).
  39. L-W. Chang et al.IEDM 2010 Technical Digest, 752-755 (2010).
  40. NIST 2011 report on LER in PS-b-PMMA DSA
  41. A. N. Semenov, Macromolecules 26, 6617 (1993).
  42. H. Liu et al., Proc. SPIE vol. 9781, 97810P (2016).
  43. L. T.-N. Wang et al., Proc. vol. 9781, 97810B (2016).
  44. U. S. Patents 6190986, 6278171, 6632741.
  45. F. T. Chen et al., J. Micro/Nanolith. MEMS MOEMS 13(1), 011008 (Jan–Mar 2014).
  46. J. Lowes et al., Proc. SPIE 7639, 76390K (2010).
  47. T. Katayama et al., Proc. SPIE 5377, 968–973 (2004).
  48. J. Riege, S. Mony, and N. Ebrahimi, "A New Method for Creating Sloped Resist Profiles Using Mask Structures," Skyworks Solutions, Inc.
  49. S. Moulis et al., Proc. SPIE 8326, 83261J (2012).
  50. U.S. Patent 9070557, assigned to SMIC
  51. Synopsis Presentation at Semicon West 2013
  52. M. C. Smayling et al., Proc. SPIE 8683, 868305 (2013).
  53. Z. Xiao et al., Proc. SPIE 8880, 888017-3 (2013).
  54. K. Oyama et al., Proc. SPIE 9051, 90510V (2014).
  55. M. C. Smayling et al., Proc. SPIE 9426, 94261U (2015).
  56. B. Mebarki et al., U. S. Patent 8,084,310, assigned to Applied Materials.
  57. J. M. Park et al., IEDM 2015, 676 (2015).
  58. http://www.digitimes.com/news/a20121224PR200.html Samsung delivers 14nm FinFET logic process and design infrastructure
  59. Intel pushes EUV beyond 10 nm
  60. Intel delays 10 nm to 2017
  61. Pushing Multiple Patterning in Sub-10nm: Are We Ready?, DAC 2015
  62. L. Liebmann, A. Chu, and P. Gutwin, Proc. of SPIE Vol. 9427, 942702 (2015).
  63. S. Owa et al., Proc. of SPIE Vol. 9052, 90520O (2014).
This article is issued from Wikipedia - version of the Saturday, May 07, 2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.